Uploaded image for project: 'ZABBIX BUGS AND ISSUES'
  1. ZABBIX BUGS AND ISSUES
  2. ZBX-11058

zbx_module_item_list() is mandatory in loadable module interface

XMLWordPrintable

    • Team A
    • Sprint 1

      Documentation says it's optional.

      Related code in src/libs/zbxmodules/modules.c:

      		func_list = (ZBX_METRIC *(*)(void))dlsym(lib, ZBX_MODULE_FUNC_ITEM_LIST);
      		if (NULL == func_list)
      		{
      			zabbix_log(LOG_LEVEL_WARNING, "cannot find \"" ZBX_MODULE_FUNC_ITEM_LIST "()\""
      					" function in module \"%s\": %s", *file_name, dlerror());
      			dlclose(lib);
      			continue;
      		}
      

      See also ZBX-8222.

            Unassigned Unassigned
            glebs.ivanovskis Glebs Ivanovskis (Inactive)
            Team A
            Votes:
            1 Vote for this issue
            Watchers:
            4 Start watching this issue

              Created:
              Updated:
              Resolved: